Brocer Pecyn Rhwydwaith Mylinking™ (NPB) ML-NPB-5410+

6*40/100GE QSFP28 ynghyd â 48*1/10/25GE SFP+, Uchafswm 1.8Tbps

Disgrifiad Byr:

6 * 100G / 40G QSFP28 slotiau ynghyd â slotiau 48 * 1G / 10G / 25G SFP + cyfanswm o 54 porthladd;Rhyngwyneb rheoli MGT addasol 1 * 10/100/1000M;porthladd CONSOLE 1 * RS232C RJ45;Yn cefnogi dyblygu pecyn rhwydwaith Ethernet, cydgasglu a dosbarthu.Hidlo pecynnau a chanllawiau traffig yn seiliedig ar reolau (saith-tuple a'r maes nodwedd 128-beit cyntaf o becynnau);mae'r trwybwn uchaf yn cyrraedd i 1.8Tbps.HTTP/ llinell orchymyn rheoli o bell a lleol;rheoli SNMP a rheoli SYSLOG;Yn cefnogi diswyddiad cyflenwad pŵer deuol 110 ~ 240V AC


Manylion Cynnyrch

Tagiau Cynnyrch

1- Trosolwg

  • Rheolaeth weledol lawn o ddyfais Caffael Data (6 * 40 / 100GE QSFP28 + 44 * 10 / 25GE SFP + a 4 * 1 / 10G SFP +, cyfanswm o 54 porthladd)
  • Dyfais Rheoli Amserlennu Data llawn (prosesu deublyg Rx/Tx)
  • Dyfais cyn-brosesu ac ail-ddosbarthu llawn (lled band deugyfeiriadol 1.8Tbps)
  • Cefnogi casglu a derbyn data cyswllt o wahanol leoliadau elfennau rhwydwaith
  • Cefnogi casglu a derbyn data cyswllt o wahanol nodau llwybro switsh
  • Pecyn amrwd â chymorth wedi'i gasglu, ei nodi, ei ddadansoddi, ei grynhoi'n ystadegol a'i farcio
  • Wedi'i gefnogi i wireddu pecynnu uchaf amherthnasol o anfon traffig Ethernet ymlaen, cefnogi pob math o brotocolau pecynnu Ethernet, a hefyd 802.1q/q-in-q, IPX/SPX, MPLS, PPPO, ISL, GRE, PPTP ac ati pecynnu protocol
  • Allbwn pecyn crai wedi'i gefnogi ar gyfer offer monitro Dadansoddi BigData, Dadansoddiad Protocol, Dadansoddiad Signalau, Dadansoddiad Diogelwch, Rheoli Risg a thraffig gofynnol arall.
  • Cefnogir dadansoddiad cipio pecynnau amser real, adnabod ffynhonnell data
  • Datrysiad sglodion rhaglenadwy P4 â chymorth, casglu data a system injan gweithredu gweithredu.Mae lefel y caledwedd yn cefnogi cydnabod mathau newydd o ddata a gallu gweithredu strategaeth ar ôl adnabod data, gellir ei addasu ar gyfer adnabod pecynnau, ychwanegu swyddogaeth newydd yn gyflym, paru protocol newydd.Mae ganddo allu addasu senario ardderchog ar gyfer y nodweddion rhwydwaith newydd.Er enghraifft, VxLAN, MPLS, nythu amgáu heterogenaidd, nythu VLAN 3-haen, stamp amser lefel caledwedd ychwanegol, ac ati.
ghm

2- Galluoedd Prosesu Traffig Deallus

disgrifiad o'r cynnyrch

ASIC Chip Plus Multicore CPU
Galluoedd prosesu traffig deallus 1.8Tbps

cynnyrch-disgrifiad1

Caffael 100GE
6 * 40/100GE QSFP28 + 44 * 10/25GE SFP + a 4 * 1/10G SFP cyfanswm o 54 o borthladdoedd prosesu deublyg Rx / Tx, hyd at 1.8Tbps Trosglwyddydd Data Traffig ar yr un pryd, ar gyfer Caffael Data rhwydwaith, Rhag-brosesu syml

disgrifiad o'r cynnyrch (2)

Dyblygiad Data
Pecyn wedi'i ailadrodd o 1 porthladd i borthladdoedd lluosog N, neu borthladdoedd N lluosog wedi'u hagregu, yna'n cael eu hailadrodd i borthladdoedd M lluosog

disgrifiad o'r cynnyrch (3)

Cydgasglu Data
Pecyn wedi'i ailadrodd o 1 porthladd i borthladdoedd lluosog N, neu borthladdoedd N lluosog wedi'u hagregu, yna'n cael eu hailadrodd i borthladdoedd M lluosog

disgrifiad o'r cynnyrch (4)

Dosbarthu Data
Dosbarthu'r metadata sy'n dod i mewn yn gywir a thaflu neu anfon gwahanol wasanaethau data ymlaen i allbynnau rhyngwyneb lluosog yn unol â rhestr wen, rhestr ddu neu reolau rhagddiffiniedig y defnyddiwr.

disgrifiad o'r cynnyrch (5)

Hidlo Data
Gellir dosbarthu traffig data mewnbwn yn gywir, a gall gwahanol wasanaethau data gael eu taflu neu eu hanfon ymlaen i allbwn rhyngwynebau lluosog yn ôl rheolau rhestr wen neu restr ddu.Cyfuniad hyblyg o elfennau megis Math Ethernet, tag VLAN, TTL, IP saith-tuple, darnio IP, adnabod baner TCP, nodweddion neges, ac ati i fodloni gofynion defnyddio offer diogelwch rhwydwaith amrywiol, dadansoddi protocol, dadansoddi signalau, monitro traffig ac yn y blaen

disgrifiad o'r cynnyrch

Balans Llwyth
Algorithm Hash cydbwysedd llwyth â chymorth ac algorithm rhannu pwysau yn seiliedig ar sesiwn yn unol â nodweddion haen L2-L7 i sicrhau bod deinamig traffig allbwn y porthladd o gydbwyso llwyth

dnf

Stampio Amser
Wedi'i gefnogi i gydamseru'r gweinydd NTP i gywiro'r amser ac ysgrifennu'r neges i'r pecyn ar ffurf tag amser cymharol gyda marc stamp amser ar ddiwedd y ffrâm, gyda chywirdeb nanoseconds

disgrifiad o'r cynnyrch (7)
disgrifiad o'r cynnyrch (8)
disgrifiad o'r cynnyrch (9)

Tagiwyd VLAN

VLAN Heb ei dagio

Disodlwyd VLAN

Wedi cefnogi paru unrhyw faes allweddol yn y 128 beit cyntaf o becyn.Gall y defnyddiwr addasu'r gwerth gwrthbwyso a hyd a chynnwys y maes allweddol, a phennu'r polisi allbwn traffig yn unol â chyfluniad y defnyddiwr.

fgn

Trosglwyddo ffibr sengl
Cefnogi trosglwyddiad ffibr sengl ar gyfraddau porthladd o 10 G, 40 G, a 100 G i fodloni gofynion derbyn data un ffibr rhai dyfeisiau pen ôl a lleihau cost mewnbwn deunyddiau ategol ffibr pan fydd angen nifer fawr o ddolenni. cael eu dal a'u dosbarthu

df

40G Toriad Porthladd
Cefnogaeth i dorri allan ar borthladdoedd 40G i fod yn borthladdoedd 4 * 10GE ar gyfer anghenion mynediad penodol

fgn

Sleisio Data
Cefnogir sleisio seiliedig ar bolisi (64-1518 bytes yn ddewisol) o'r data crai, a gellir gweithredu'r polisi allbwn traffig yn seiliedig ar gyfluniad defnyddwyr

disgrifiad o'r cynnyrch (14)

Adnabod Protocol Twnelu
Cefnogir yn awtomatig nodi protocolau twnelu amrywiol megis GTP / GRE / PPTP / L2TP / PPPOE.Yn ôl cyfluniad y defnyddiwr, gellir gweithredu'r strategaeth allbwn traffig yn ôl haen fewnol neu allanol y twnnel

dsd

Cipio Pecyn
Cefnogi cipio pecynnau lefel porthladd, lefel polisi o borthladdoedd ffynhonnell ffisegol o fewn hidlydd maes Pum-Tuple mewn amser real

ndf

Dadansoddiad Pecyn
Cefnogi'r dadansoddiad datagram a ddaliwyd, gan gynnwys dadansoddiad datagram annormal, ailgyfuno nant, dadansoddiad llwybr trosglwyddo, a dadansoddiad llif annormal

rth

VxLAN, VLAN, MPLS, GTP, GRE, Stripping Pennawd IPIP
Wedi cefnogi'r stripio pennawd VxLAN, VLAN, MPLS, GTP, GRE, IPIP i'w anfon ymlaen yn y pecyn data gwreiddiol

disgrifiad o'r cynnyrch (15)

Llwyfan Gwelededd Rhwydwaith Mylinking™
Cefnogwyd Mylinking™ Mynediad Platfform Rheoli Gwelededd Matrics-SDN

disgrifiad o'r cynnyrch (16)

1+1 System Bŵer Ddiangen (RPS)
Cefnogir 1 + 1 System Pŵer Diangen Ddeuol

3- Strwythurau Cymhwysiad Nodweddiadol

3.1 Cais Atgynhyrchu/Agregu Casgliad Canolog (fel a ganlyn)

dfb

3.2 Cais Atodlen Unedig (fel a ganlyn)

fmgm

3.3 Cais Torri Pecyn Data (fel a ganlyn)

tyj

3.4 Data Tagged VLAN Application (fel a ganlyn)

dfbb

4- Manylebau

ML-NPB-5410+ Swyddogaeth TAP/NPB Rhwydwaith Mylinking™alParamedrau

Rhyngwyneb Rhwydwaith

100G (yn gydnaws â 40G)

6 * slotiau QSFP28

10G (cyd-fynd â 25G)

44 * SFP+ slotiau

1G (yn gydnaws â 10G)

4 * SFP+ slotiau

Allan rhyngwyneb band

1 * 10/100/1000M cowper

Modd defnyddio

Tap Ffibr

Cefnogaeth

Rhychwant Drych

Cefnogaeth

Swyddogaeth system

Prosesu traffig

Traffig yn atgynhyrchu/agregu/hollti

Cefnogaeth

Cydbwyso llwyth

Cefnogaeth

Hidlo yn seiliedig ar adnabod traffig IP/protocol/pumpyn porthladd

Cefnogaeth

Tag VLAN/heb ei dagio/amnewid

Cefnogaeth

Toriad porthladd 40G

Cefnogaeth

Stampio amser

Cefnogaeth

Stripping Pennawd Pecyn

VxLAN, VLAN, MPLS, GRE, GTP, IPIP, ac ati.

Sleisio Data

Cefnogaeth

Adnabod protocol twnnel

Cefnogaeth

Trosglwyddiad ffibr sengl

Cefnogaeth

Annibyniaeth pecyn Ethernet

Cefnogaeth

Gallu prosesu

1.8Tbps

Rheolaeth

CONSOLE MGT

Cefnogaeth

IP/WE MGT

Cefnogaeth

SNMP MGT

Cefnogaeth

TELNET/SSH MGT

Cefnogaeth

Protocol SYSLOG

Cefnogaeth

Awdurdodiad radiws neu AAA wedi'i ganoli

Cefnogaeth

Dilysu defnyddiwr

Dilysu yn seiliedig ar enw defnyddiwr a chyfrinair

Trydanol

(1+1 System Bŵer Ddiangen-RPS)

Foltedd cyflenwad pŵer graddedig

AC110 ~ 240V/DC-48V[Dewisol]

Amledd pŵer graddedig

AC-50HZ

Cyfredol mewnbwn graddedig

AC-3A / DC-10A

Rated pŵer swyddogaeth

Uchafswm 300W

Amgylchedd

Tymheredd gweithredu

0-50℃

Tymheredd storio

-20-70 ℃

Lleithder gweithio

10% -95%, Dim anwedd

Ffurfweddiad Defnyddiwr

Ffurfweddiad Consol

Rhyngwyneb RS232, 115200, 8, N,1

Dilysu cyfrinair

Cefnogaeth

Uchder siasi

Rack Space (U)

1U 445mm*44mm*505mm


  • Pâr o:
  • Nesaf:

  • Ysgrifennwch eich neges yma a'i hanfon atom