Bróicéir Paicéad Líonra Mylinking™ (NPB) ML-NPB-4860

48*10GE SFP+, Uasmhéid 480Gbps, Feidhm Móide

Cur síos gairid:

Tacaíonn Bróicéir Paicéad Líonra Mylinking™ ML-NPB-4860 le calafoirt 48 * 10GE / GE SFP+ agus feidhm bhunaidh bogearraí údaraithe;480Gbps tréchur;Lena n-áirítear macasamhlú bunúsach, comhiomlánú, cur ar aghaidh, scagadh quintuple, ceanntásc paicéid agus scagadh ábhar paicéid, bunaithe ar fheidhm scagtha saincheaptha an fhritháireamh suíomh sonraithe;

Próiseálaí paicéad tráchta cliste 40G chun cinn;Paicéid sonraí a dhídhúthú ar éileamh (bunaithe ar chalafoirt fhisiceacha agus ar rialacha teaglaim cúig-chúpla);Marcáil stampa ama beacht ar phaicéid;Sainaithint doimhneacht prótacail ciseal iarratais agus feidhmeanna díluchtaithe tráchta cúlra;MPLS/VXLAN/GRE/GTP imchochlú agus stripping tollán;
Bunfheidhm monatóireachta sreabhadh leabaithe;thacaigh sé le monatóireacht fíor-ama ar thrácht beartais agus ar thrácht comhéadain.Ceistigh treocht tráchta stairiúil polasaithe agus comhéadain.
Cuimhne de ghrád tionsclaíoch 16GB DDR3, stóráil de ghrád tionsclaíoch 16GB SLC, chassis 1U, soláthar cumhachta dé 250W (DC / AC roghnach).


Sonraí Táirge

Clibeanna Táirge

1- Forbhreathnú

  • Rialú amhairc iomlán ar fheiste Soláthair/Gabháil Sonraí (48port * 10GE SFP+ port)
  • Feiste iomlán Bainistíochta Sceidealaithe Sonraí (próiseáil déphléacsach Rx/Tx ar a mhéad 24*10GE)
  • Gléas iomlán réamhphróiseála agus athdháilte (bandaleithead déthreorach 480Gbps)
  • Tacaíodh le bailiú agus fáiltiú sonraí naisc ó shuímh éagsúla eilimintí líonra
  • Tacaíodh le bailiú agus fáiltiú sonraí naisc ó nóid éagsúla ródúcháin malairte
  • Paicéad amh tacaithe bailithe, aitheanta, anailísithe, achoimre staitistiúil agus marcáilte
  • Tacaithe le haschur paicéad amh le haghaidh trealamh monatóireachta ar Anailís BigData, Anailís Phrótacail, Anailís Comharthaíochta, Anailís Slándála, Bainistíocht Riosca agus trácht riachtanach eile.
  • Tacaíodh le hanailís gabhála paicéid fíor-ama, sainaithint foinse sonraí, agus cuardach tráchta líonra fíor-ama/stairiúil
ML-NPB-48606

2 - Cumais Chliste Próiseála Tráchta

Cur síos ar an Táirge

ASIC Chip Plus Multicore LAP
Cumais phróiseála tráchta Chliste 480Gbps

táirge-cur síos1

Fáil 10GE
10GE 48 calafoirt, Uasmhéid 24 * 10GE calafoirt Rx/Tx próiseáil déphléacsach, suas le 480Gbps Trasghlacadóir Sonraí Tráchta ag an am céanna, le haghaidh Fáil Sonraí líonra, Réamhphróiseáil shimplí

cur síos ar an táirge (2)

Macasamhlú Sonraí
Paicéad arna mhacasamhlú ó 1 phort go N-phoirt iolracha, nó il-phoirt N comhiomlánaithe, ansin athdhéanta go poirt M iolracha

cur síos ar an táirge (3)

Comhiomlánú Sonraí
Paicéad arna mhacasamhlú ó 1 phort go N-phoirt iolracha, nó il-phoirt N comhiomlánaithe, ansin athdhéanta go poirt M iolracha

cur síos ar an táirge (4)

Dáileadh/Cur ar Aghaidh Sonraí
Na meiteashonraí isteach a aicmiú go cruinn agus seirbhísí éagsúla sonraí a chaitheamh i leataobh nó a chur ar aghaidh chuig aschuir chomhéadain iolracha de réir rialacha réamhshainithe an úsáideora.

cur síos ar an táirge (5)

Scagadh Sonraí
Tacaíodh le meaitseáil scagtha paicéad L2-L7, mar shampla SMAC, DMAC, SIP, DIP, Sport, Dport, TTL, SYN, ACK, FIN, réimse cineál Ethernet agus luach, uimhir prótacail IP, TOS, srl. go dtí 2000 rialacha scagtha.

Cur síos ar an Táirge

Iarmhéid Luchtaigh
Comhardú ualaigh tacaithe algartam Hash agus algartam comhroinnte meáchain bunaithe ar sheisiún de réir saintréithe ciseal L2-L7 chun a chinntiú go bhfuil dinimic tráchta aschuir an chalafoirt de chothromú ualaigh

tuairisc ar an táirge (6)

Cluiche UDF
Tacaíodh le meaitseáil aon eochair-réimse sa chéad 128 beart de phaicéad.Saincheapadh an Luach Fritháireamh agus Fad agus Ábhar Eochair-Réimse, agus an beartas aschuir tráchta a chinneadh de réir chumraíocht an úsáideora

tuairisc ar an táirge (7)
tuairisc ar an táirge (8)
tuairisc ar an táirge (9)

VLAN Tagged

VLAN gan chlib

VLAN Athsholáthair

Tacaíodh le meaitseáil aon eochair-réimse sa chéad 128 beart de phaicéad.Is féidir leis an úsáideoir luach an fhritháirimh agus fad eochair-réimse agus ábhar a shaincheapadh, agus an beartas aschuir tráchta a chinneadh de réir chumraíocht an úsáideora.

cur síos ar an táirge (10)

Athsholáthar Seoladh MAC
Tacaíodh le hathsholáthar an seoladh MAC ceann scríbe sa phacáiste sonraí bunaidh, ar féidir a chur i bhfeidhm de réir chumraíocht an úsáideora

cur síos ar an táirge (11)

Aithint/Aicmiú Prótacail Soghluaiste 3G/4G
Tacaithe chun gnéithe líonra soghluaiste a aithint amhail (Gb, Gn, IuPS, S1-MME, S1-U, X2-U, S3, S4, S5, S6a, S11, etc. comhéadan).Is féidir leat beartais aschuir tráchta a chur i bhfeidhm bunaithe ar ghnéithe cosúil le GTPV1-C, GTPV1-U, GTPV2-C, SCTP, agus S1-AP bunaithe ar chumraíochtaí úsáideora.

cur síos ar an táirge (2)

Athchóimeáil IP Datagram
Tacaítear le sainaithint ilroinnte IP agus tacaíonn sé le hathchóimeáil ilroinnt IP chun scagadh gné L4 a chur i bhfeidhm ar gach paicéad ilroinnte IP.Beartas aschuir tráchta a chur i bhfeidhm.

cur síos ar an táirge (1)

Calafoirt Brath Sláintiúil
Tugadh tacaíocht do bhrath fíor-ama ar shláinte an phróisis seirbhíse ar an trealamh monatóireachta agus anailíse cúl-deireadh atá ceangailte le calafoirt aschuir éagsúla.Nuair a theipeann ar an bpróiseas seirbhíse, baintear an gléas lochtach go huathoibríoch.Tar éis an gléas lochtach a aisghabháil, filleann an córas go huathoibríoch chuig an ngrúpa cothromaithe ualaigh chun iontaofacht cothromaithe ualach il-chalafoirt a chinntiú.

Cur síos ar an Táirge

Cosaint Calafoirt Scáthán
Tacaíodh leis an bhfeidhm um Chosaint Chalafoirt Scáthán de gach comhéadan.Is féidir leis an bhfeidhm seo bac a chur ar chumas TX an chalafoirt fála scátháin, agus an fhadhb a bhaineann le lúb foirmithe líonra de bharr earráid cumraíochta gléas a sheachaint go héifeachtach.

cur síos ar an táirge (2)

Stampáil Ama
Tacaítear leis an bhfreastalaí NTP a shioncronú chun an t-am a cheartú agus an teachtaireacht a scríobh isteach sa phaicéad i bhfoirm clib ama coibhneasta le marc stampa ama ag deireadh an fhráma, le cruinneas nana-choicindí

Cur síos ar an Táirge

VxLAN, VLAN, MPLS Gan chlib
Tacaíodh le stripping header VxLAN, VLAN, MPLS sa bhunphaicéad sonraí agus aschur.

cur síos ar an táirge (3)

Dídhúbailt Sonraí
Tacaítear le gráinneacht staidrimh bunaithe ar chalafoirt nó ar leibhéal beartais chun sonraí foinse iolracha a chur i gcomparáid agus athrá ar an bpaicéad sonraí céanna ag am sonraithe.Is féidir le húsáideoirí aitheantóirí paicéid éagsúla a roghnú (dst.ip, src.port, dst.port, tcp.seq, tcp.ack)

cur síos ar an táirge (1)

Sliotán Sonraí
Sliseadh bunaithe ar bheartas tacaithe (64-1518 bytes roghnach) de na sonraí amh, agus is féidir an beartas aschuir tráchta a chur i bhfeidhm bunaithe ar chumraíocht úsáideora

cur síos ar an táirge (4)

Sonraí Rangaithe Folaithe/Cascála
Tacaítear le gráinneacht bunaithe ar bheartais chun aon phríomhréimse sna sonraí amh a athsholáthar chun an cuspóir a bhaineann le faisnéis íogair a chosaint a bhaint amach.De réir chumraíocht úsáideora, is féidir an beartas aschuir tráchta a chur i bhfeidhm.Tabhair cuairt ar "Cad é an Teicneolaíocht agus an Réiteach Masc Sonraí i mBróicéir Paicéad Líonra?" le haghaidh tuilleadh sonraí.

cur síos ar an táirge (14)

Prótacal Tollánaithe Aithnigh
Tacaithe a aithint go huathoibríoch prótacail tollánaithe éagsúla, mar shampla GTP / GRE / PPTP / L2TP / PPPOE.De réir chumraíocht an úsáideora, is féidir an straitéis aschuir tráchta a chur i bhfeidhm de réir ciseal istigh nó seachtrach an tolláin

cur síos ar an táirge (5)

Prótacal Sraithe APP Aithnigh
Tacaítear le haithint prótacail ciseal feidhmchláir a úsáidtear go coitianta, mar shampla FTP, HTTP, POP, SMTP, DNS, NTP, BitTorrent, Syslog, MySQL, MsSQL agus mar sin de

tuairisc ar an táirge (6)

Físeán Scagadh Tráchta
Tacaithe a aithint Prótacal Físeáin, mar shampla: Youtube, RTSP, MSTP, Youku, etc De réir chumraíocht úsáideora, is féidir an beartas aschuir tráchta a chur i bhfeidhm.

cur síos ar an táirge (2)

Díchriptiú SSL
Tacaíodh le luchtú an deimhnithe SSL comhfhreagrach a dhíchriptiú.Tar éis sonraí criptithe HTTPS a dhíchriptiú don trácht sonraithe, cuirfear ar aghaidh chuig na córais monatóireachta agus anailíse cúl-de réir mar is gá.

cur síos ar an táirge (2)

Gabháil Paicéad
Tacaítear le gabháil paicéad ag leibhéal an phoirt, ag leibhéal beartais ó phoirt fhisiciúla foinse laistigh den scagaire den réimse Cúig-Tuple i bhfíor-am

cur síos ar an táirge (15)

Monatóireacht Fíor-ama ar Threochtaí Tráchta
Tacaithe le monatóireacht fíor-ama agus staitisticí ar thrácht sonraí leibhéal calafoirt agus leibhéal beartais, chun an ráta RX / TX a thaispeáint, bearta a fháil / a sheoladh, Uimh., RX / TX líon na n-earráidí, an ráta uasta ioncaim / gruaige agus eile príomhtháscairí.

cur síos ar an táirge (10)

Aláram Treochtaí Tráchta
Tacaíodh le haláraim monatóireachta tráchta sonraí ag leibhéal an chalafoirt, ag leibhéal beartais, trí na tairseacha aláraim a shocrú do gach calafort agus gach forsreabhadh beartais.

cur síos ar an táirge (11)

Athbhreithniú Stairiúil ar Threochtaí Tráchta
Tacaíodh le beagnach 2 mhí d'fhiosrúchán staidrimh tráchta stairiúil ar leibhéal an phoirt, ar leibhéal beartais.De réir na laethanta, na n-uaireanta, na miontuairiscí agus gráinneacht eile ar an ráta TX/RX, bearta TX/RX, teachtaireachtaí TX/RX, uimhir earráide TX/RX nó faisnéis eile chun ceist a roghnú.

táirge desc

Brath Tráchta Fíor-ama
Tacaíodh le foinsí "Gabháil Port Fisiciúil (Fáil Sonraí)", "Réimse Cur Síos Gné na Teachtaireachta (L2 - L7)", agus faisnéis eile chun scagaire tráchta solúbtha a shainiú, le haghaidh trácht sonraí líonra gabhála fíor-ama de shuímh éagsúla a bhrath, agus beidh déanfar é a stóráil na sonraí fíor-ama tar éis iad a ghabháil agus a bhrath sa fheiste chun anailís shaineolach fhorghníomhaithe bhreise a íoslódáil nó úsáideann sé a ghnéithe diagnóisithe den trealamh seo le haghaidh anailíse domhain léirshamhlaithe.

cur síos ar an táirge (3)

Anailís Paicéad
Tacaíodh leis an anailís datagram gafa, lena n-áirítear anailís datagram neamhghnácha, athcheangail srutha, anailís ar chonair tarchurtha, agus anailís sruth neamhghnácha

cur síos ar an táirge (15)

Ardán Infheictheachta NetTAP®
Tacaíodh le mylinking™ Rochtain ar Ardán Rialaithe Infheictheachta

cur síos ar an táirge (16)

1+1 Córas Cumhachta Iomarcaíochta(RPS)
Tacaithe 1+1 Córas Cumhachta Dual Iomarcacha

3- Struchtúir Iarratais tipiciúla

3.1 Mylinking™ Bróicéir Paicéad Líonra Láraithe Gabháil Tráchta, Macasamhlú/Comhiomlánú (mar seo a leanas)

ML-NPB-48601

3.2 Mylinking™ Bróicéir Paicéad Líonra Iarratas Sceidil Aontuithe ar Mhonatóireacht Sonraí (mar seo a leanas)

ML-NPB-48608

3.3 Mylinking™ Feidhmchlár Dídhúblála Sonraí Bróicéir Paicéad Líonra (mar seo a leanas)

ML-NPB-48607

3.4 Feidhmchlár Sliseála Sonraí Bróicéir Paicéad Líonra mylinking™ (mar seo a leanas)

ML-NPB-48604

3.5 Mylinking™ Network Packet Broker Iarratas Rochtana Hibride ar Fháil Sonraí/Macasamhlú/Comhiomlánú (mar seo a leanas)

ML-NPB-48603

3.6 Mylinking™ Feidhmchlár um Chumasc Sonraí Bróicéir Paicéad Líonra (mar seo a leanas)

ML-NPB-48602

4- Sonraíochtaí

ML-NPB-4860 Bróicéir Paicéad Líonra Mylinking™ Paraiméadair Feidhmiúla

Comhéadan Líonra

10GE Sliotán 48 * SFP +, tacaigh le 10GE / GE ; Tacaíocht snáithín Aonair / Ilmhód
Comhéadan As-BandMGT Port leictreach 1*10/100/1000m;

Mód Imlonnaithe

Mód Optúil Tacaithe
Mód Réise Scátháin Tacaithe

Feidhm an Chórais

Próiseáil Bunúsach Tráchta

Macasamhlú/comhiomlánú/dáileadh Tráchta Tacaithe
Bunaithe ar IP / prótacal / calafort scagadh aitheantais tráchta seacht n-tuple Tacaithe
Cluiche UDF Tacaithe
VLAN a mharcáil/ionadaigh/scrios Tacaithe
Prótacal 3G/4G a shainaithint Tacaithe
Cigireacht sláinte an chomhéadain Tacaithe
Cosaint Calafoirt Scáthán Tacaithe
Tacaíocht neamhghaolmhar le himchochlú Ethernet Tacaithe
Cumas próiseála 480Gbps

Próiseáil Tráchta Chliste

Am-stampáil Tacaithe
Clib bhaint Tacaithe VxLAN, VLAN, GRE, stripping ceanntásc MPLS
Dí-dúbailt sonraí Leibhéal comhéadain/polasaí tacaithe
Slisniú paicéad Leibhéal polasaí tacaithe
Dí-íogrú sonraí (Magadh Sonraí) Tacaíocht leibhéal polasaí
Atheagrú tolláin Tacaithe
Aithint prótacal ciseal iarratais Tacaithe le FTP/HTTP/POP/SMTP/DNS/NTP/BitTorrent/SYSLOG/MYSQL/MSSQL agus mar sin de
Aitheantas tráchta físeán Tacaithe
Cumas próiseála 40Gbps

Diagnóis agus Monatóireacht

Monatóir fíor-ama Comhéadan/polasaí tacaithe
Aláram tráchta Comhéadan/polasaí tacaithe
Athbhreithniú tráchta stairiúil Comhéadan/polasaí tacaithe
Gabháil tráchta Comhéadan/polasaí tacaithe

Brath Infheictheachta Tráchta

Anailís Bunúsach Cur i láthair staidrimh achomair tacaithe ar fhaisnéis bhunúsach ar nós Comhaireamh Paicéad, Dáileadh Aicme Paicéad, Uimhir Ceangail Seisiúin, Dáileadh prótacail Paicéad, etc.
Anailís DPI Anailís comhréir prótacail ciseal iompair tacaithe, anailís comhréir multicast craolta unicast, anailís ar chomhréir tráchta IP, comhréir iarratais DPI analysis.Supported ábhar sonraí bunaithe ar am samplála anailíse méid tráchta rendering.Supported anailís sonraí agus staitisticí bunaithe ar shreabhadh seisiún.
Anailís Chruinn Lochtanna Tacaítear le sonraí tráchta chun anailís agus suíomh locht amhairc éagsúla a sholáthar, lena n-áirítear: Anailís ar Iompar Tarchurtha Teachtaireachta, Anailís ar Lochtanna Leibhéal an tSrutha Sonraí, Anailís ar Lochtanna Leibhéal Paicéad, Anailís ar Lochtanna Slándála, Anailís ar Lochtanna Líonra.

Bainistíocht

CONSOLE MGT Tacaithe
IP/WEB MGT Tacaithe
SNMP MGT Tacaithe
TELNET/SSH MGT Tacaithe
Prótacal SYSLOG Tacaithe
Fíordheimhniú úsáideora Bunaithe ar fhíordheimhniú pasfhocal úsáideora

Electric(1+1 Córas Cumhachta Iomarcaíochta-RPS)

Ráta voltas soláthair cumhachta AC110~240V/DC-48V(roghnach)
Ráta minicíocht soláthair cumhachta AC-50HZ
Ráta sruth ionchuir AC-3A / DC-10A
Cumhacht ráta Uasmhéid 250W

Timpeallacht

Teocht oibre 0-50 ℃
Teocht stórála -20-70 ℃
Taise oibre 10% -95%, gan aon chomhdhlúthú

Cumraíocht Úsáideora

Cumraíocht console Comhéadan RS232, 115200, 8, N,1
Fíordheimhniú pasfhocal Tacaithe

Airde an Fhuaidh

(U) 1U 445mm*44mm*402mm

5- Eolas Ordú

Calafoirt ML-NPB-4860-24H 24*10GE/GE SFP+, 240Gbps
Calafoirt ML-NPB-4860-48H 48*10GE/GE SFP+, 480Gbps
ML-NPB-4860-SOFT-DIAG mylinking™ Ardbhrath Paicéad/Bogearraí Diagnóiseacha
ML-NPB-4860-SOFT-PEX mylinking™ Próiseálaí Rialaithe Amhairc Bogearraí Leathnaigh Poirt


  • Roimhe Seo:
  • Ar Aghaidh:

  • Scríobh do theachtaireacht anseo agus seol chugainn é